Archive for the ‘MEMS’ Category
Wednesday, June 15th, 2011
Ultra-pure steam can improve the ultimate efficiency of PV cells by removing contaminants, as quantified by Fraunhofer ISE using Rasirc Steamer sub-systems.
Fraunhofer Quantifies Steam Purity PV Benefit
Tags: ALD, CVD, deposition, DI, fab, Fraunhofer, HVM, IC, OEM, PV, RASIRC, steam, vapor, water
Posted in Equipment, fab, FPD, IC, MEMS, Product, PV | Comments Off on Fraunhofer Quantifies Steam Purity PV Benefit
Tuesday, April 5th, 2011
Prof. Masato Shibuya was awarded the JSAP Takuma Award 2011 for invention of the phase-shift mask (PSM) and opening the field of advanced lithography, as reported by independent PSM inventor Marc Levenson.
JSAP 2011 Takuma Award to Masato Shibuya
Tags: Advanced Lithography, fab, IC, JSAP, Levenson, litho, mask, OAI, OPC, PSM, RET, Shibuya, SMO, SRAF, Takuma
Posted in Equipment, fab, IC, Material, MEMS, Product, Service | Comments Off on JSAP 2011 Takuma Award to Masato Shibuya
Monday, March 21st, 2011
SPIE Advanced Lithography 2011 showed few new tools or techniques, but many new materials and integration tricks to extend 193i into double-patterning for IC HVM, while EUV and DSA developments continue according to expert Dr. M. David Levenson of BetaSights.
Advanced Lithography is All about Materials
Tags: 10nm, 16nm, 193i, 20nm, 22nm, a-Si, Advanced Lithography, ALD, alt-PSM, ArF, ASML, CAR, CDU, Cymer, DFM, Dow, DP, DPP, DR, DSA, EbDW, EDA, EUV, EUVL, GDR, Gigaphoton, IBM, IC, IMEC, Intel, LELE, LER, Levenson, litho, logic, LPP, LWR, materials, MEEF, Nikon, OPC, PAG, RDR, RET, RSADP, SADP, Samsung, SPIE, SRAM, TEL, TSMC, Xtreme
Posted in Equipment, fab, IC, Material, MEMS, Product, Service | Comments Off on Advanced Lithography is All about Materials
Friday, February 25th, 2011
EV Group upgrades aligner platform with optics for transparent wafers like sapphire to create 200wph proximity aligner for high-brightness LED (HB-LED) high-volume manufacturing (HVM): EVG620HBL
HB-LED mask aligner for HVM
Posted in Equipment, fab, IC, MEMS, Product | Comments Off on HB-LED mask aligner for HVM
Monday, October 18th, 2010
IEDM 2010 best hints at 22nm node fab tech alternate-channel materials, dual- and tri-gate transistors, and RF, MEMS, lab-on-chip, graphene, analog, memory ReRAM results.
IEDM to show 22nm alt-channels and dual- and tri-gates
Tags: 22nm, analog, CEA/Leti, CMOS, dual-gate, FDSOI, finFET, graphene, IC, IEDM, Infineon, Intel, memory, MEMS, Mittal, ReRAM, RF, SOI, transistor, tri-gate, TSMC
Posted in fab, IC, Material, MEMS, Product, Service | Comments Off on IEDM to show 22nm alt-channels and dual- and tri-gates
Thursday, September 23rd, 2010
TSV for 3D integration of heterogeneous ICs used in interposers first, as shown at SEMICON/West, IMAPS, IEDM and companies like ASE, Alchimer, Suss, EVG, Novellus, Vertical Circuits, and IBM.
TSV ready in interposers at OTAPs
Tags: 3D, ASE, Cu, ECD, etch, IC, IEDM, IMAPS, Novellus, OTAP, PVD, Qualcomm, TSV
Posted in Equipment, fab, IC, Material, MEMS, Product, Service | Comments Off on TSV ready in interposers at OTAPs
Thursday, August 5th, 2010
SEMICON/West 2010 lithography changes were slight, TEL showed quadruple-patterning with ALD sidewall spacers and tools, Nikon and ASML with IMEC also showed double-patterning
Steady as she goes: Optical Lithography
Posted in Equipment, fab, IC, Material, MEMS, Product | Comments Off on Steady as she goes: Optical Lithography
Monday, July 19th, 2010
Soft plasmas for monolayer etching by Ed Korczynski at NCCAVS PAG meeting at SEMICON/West 2010, including TEL Tactras RLSA and AMAT AdvantEdge Mesa for HKMG 32nm, STI, and bWL etches.
Soft plasmas for monolayer etching
Tags: 22nm, 32nm, 45nm, ALD, ALE, CMOS, CoO, Denard, etch, HKMG, IC, plasma
Posted in Equipment, fab, IC, MEMS, Product | Comments Off on Soft plasmas for monolayer etching
Monday, July 5th, 2010
ALD/CVD systems for new materials R&D by Altatech Semiconductor sold to Fraunhofer IZM ASSID and ENAS for 3DIC and high mobility research using liquid injection of precursors.
ALD/CVD system for new materials R&D
Tags: 22nm, 32nm, 3DIC, 45nm, ALD, BEOL, CVD, IC, LED, materials, R&D, TSV
Posted in Equipment, fab, IC, Material, MEMS, Product | Comments Off on ALD/CVD system for new materials R&D
Monday, April 5th, 2010
The 2010 SPIE Advanced Lithography conference is where we first get glimpses of the future of nano-scale patterning technology for manufacturing. Sometimes, many fuzzy blobs come into focus as a picture in a single moment, and Yan Borodovsky of Intel showed how to do 22nm node litho the day before SPIE officially started. At both […]
Litho limit seen as 1D patterns
Tags: 22nm, DSA, EbDW, EUV, HDD, HVM, litho, NGL, NIL
Posted in Equipment, fab, IC, Material, MEMS | Comments Off on Litho limit seen as 1D patterns